aboutsummaryrefslogtreecommitdiff
path: root/stdlib/source/lux/data/ident.lux
blob: 27d45227fbb57b5b9fccb8150948800b7406765d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
(.module:
  [lux #*
   [control
    [equivalence (#+ Equivalence)]
    [codec (#+ Codec)]
    hash]
   [data
    ["." text ("text/." Monoid<Text> Hash<Text>)]]])

## [Types]
## (type: Ident
##   [Text Text])

## [Functions]
(do-template [<name> <side>]
  [(def: #export (<name> [module name])
     (-> Ident Text)
     <side>)]

  [module module]
  [name   name]
  )

## [Structures]
(structure: #export _ (Equivalence Ident)
  (def: (= [xmodule xname] [ymodule yname])
    (and (text/= xmodule ymodule)
         (text/= xname yname))))

(structure: #export _ (Codec Text Ident)
  (def: (encode [module name])
    (case module
      "" name
      _ ($_ text/compose module "." name)))
  
  (def: (decode input)
    (if (text/= "" input)
      (#.Left (text/compose "Invalid format for Ident: " input))
      (case (text.split-all-with "." input)
        (^ (list name))
        (#.Right ["" name])

        (^ (list module name))
        (#.Right [module name])

        _
        (#.Left (text/compose "Invalid format for Ident: " input))))))

(structure: #export _ (Hash Ident)
  (def: eq Equivalence<Ident>)
  
  (def: (hash [module name])
    (n/+ (text/hash module) (text/hash name))))