aboutsummaryrefslogtreecommitdiff
path: root/stdlib/source/test/lux/tool/compiler/language/lux/analysis/type.lux
diff options
context:
space:
mode:
Diffstat (limited to '')
-rw-r--r--stdlib/source/test/lux/tool/compiler/language/lux/analysis/type.lux32
1 files changed, 19 insertions, 13 deletions
diff --git a/stdlib/source/test/lux/tool/compiler/language/lux/analysis/type.lux b/stdlib/source/test/lux/tool/compiler/language/lux/analysis/type.lux
index a5f1b5797..2a13b674c 100644
--- a/stdlib/source/test/lux/tool/compiler/language/lux/analysis/type.lux
+++ b/stdlib/source/test/lux/tool/compiler/language/lux/analysis/type.lux
@@ -5,7 +5,7 @@
[abstract
[monad {"+" do}]]
[control
- [pipe {"+" case>}]
+ ["[0]" pipe]
["[0]" try ("[1]#[0]" functor)]]
[data
["[0]" product]]
@@ -58,22 +58,25 @@
(/module.with 0 module)
(/phase#each product.right)
(/phase.result state)
- (case> {try.#Success _} true
- {try.#Failure _} false))
+ (pipe.case
+ {try.#Success _} true
+ {try.#Failure _} false))
(|> (/.inference dummy)
(/.expecting expected)
(/module.with 0 module)
(/phase#each product.right)
(/phase.result state)
- (case> {try.#Success _} false
- {try.#Failure _} true))
+ (pipe.case
+ {try.#Success _} false
+ {try.#Failure _} true))
(|> (/.inference expected)
(/.expecting dummy)
(/module.with 0 module)
(/phase#each product.right)
(/phase.result state)
- (case> {try.#Success _} false
- {try.#Failure _} true))))
+ (pipe.case
+ {try.#Success _} false
+ {try.#Failure _} true))))
(_.cover [/.inferring]
(|> (/.inference expected)
/.inferring
@@ -92,8 +95,9 @@
(/module.with 0 module)
(/phase#each product.right)
(/phase.result state)
- (case> {try.#Success _} true
- {try.#Failure _} false)))
+ (pipe.case
+ {try.#Success _} true
+ {try.#Failure _} false)))
(_.cover [/.existential /.existential?]
(|> (do /phase.monad
[:it: /.existential]
@@ -112,8 +116,9 @@
(/module.with 0 module)
(/phase#each product.right)
(/phase.result state)
- (case> {try.#Success _} true
- {try.#Failure _} false))
+ (pipe.case
+ {try.#Success _} true
+ {try.#Failure _} false))
(|> (do /phase.monad
[varT (/.check (do check.monad
[[id type] check.var]
@@ -124,6 +129,7 @@
(/module.with 0 module)
(/phase#each product.right)
(/phase.result state)
- (case> {try.#Success _} false
- {try.#Failure _} true))))
+ (pipe.case
+ {try.#Success _} false
+ {try.#Failure _} true))))
))))