summaryrefslogtreecommitdiff
path: root/public/vendor/codemirror/mode/vhdl/index.html
diff options
context:
space:
mode:
authorWu Cheng-Han2016-01-17 14:28:04 -0600
committerWu Cheng-Han2016-01-17 14:28:04 -0600
commiteaa8ccaccb1091820d0a8d1223996a6dd057347d (patch)
tree6b4aaa3b3d1a2fed68147510142663222533775a /public/vendor/codemirror/mode/vhdl/index.html
parentce65e58096d57ace02723d11a125673f9d48c293 (diff)
Upgrade CodeMirror to 5.10.1 and now support fullscreen, jump-to-line in editor
Diffstat (limited to 'public/vendor/codemirror/mode/vhdl/index.html')
-rw-r--r--public/vendor/codemirror/mode/vhdl/index.html95
1 files changed, 95 insertions, 0 deletions
diff --git a/public/vendor/codemirror/mode/vhdl/index.html b/public/vendor/codemirror/mode/vhdl/index.html
new file mode 100644
index 00000000..3051bc37
--- /dev/null
+++ b/public/vendor/codemirror/mode/vhdl/index.html
@@ -0,0 +1,95 @@
+<!doctype html>
+
+<title>CodeMirror: VHDL mode</title>
+<meta charset="utf-8"/>
+<link rel=stylesheet href="../../doc/docs.css">
+
+<link rel="stylesheet" href="../../lib/codemirror.css">
+<script src="../../lib/codemirror.js"></script>
+<script src="../../addon/edit/matchbrackets.js"></script>
+<script src="vhdl.js"></script>
+<style type="text/css">.CodeMirror {border-top: 1px solid black; border-bottom: 1px solid black;}</style>
+<div id=nav>
+ <a href="http://codemirror.net"><h1>CodeMirror</h1><img id=logo src="../../doc/logo.png"></a>
+
+ <ul>
+ <li><a href="../../index.html">Home</a>
+ <li><a href="../../doc/manual.html">Manual</a>
+ <li><a href="https://github.com/codemirror/codemirror">Code</a>
+ </ul>
+ <ul>
+ <li><a href="../index.html">Language modes</a>
+ <li><a class=active href="#">VHDL</a>
+ </ul>
+</div>
+
+<article>
+<h2>VHDL mode</h2>
+
+<div><textarea id="code" name="code">
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+USE ieee.numeric_std.ALL;
+
+ENTITY tb IS
+END tb;
+
+ARCHITECTURE behavior OF tb IS
+ --Inputs
+ signal a : unsigned(2 downto 0) := (others => '0');
+ signal b : unsigned(2 downto 0) := (others => '0');
+ --Outputs
+ signal a_eq_b : std_logic;
+ signal a_le_b : std_logic;
+ signal a_gt_b : std_logic;
+
+ signal i,j : integer;
+
+BEGIN
+
+ -- Instantiate the Unit Under Test (UUT)
+ uut: entity work.comparator PORT MAP (
+ a => a,
+ b => b,
+ a_eq_b => a_eq_b,
+ a_le_b => a_le_b,
+ a_gt_b => a_gt_b
+ );
+
+ -- Stimulus process
+ stim_proc: process
+ begin
+ for i in 0 to 8 loop
+ for j in 0 to 8 loop
+ a <= to_unsigned(i,3); --integer to unsigned type conversion
+ b <= to_unsigned(j,3);
+ wait for 10 ns;
+ end loop;
+ end loop;
+ end process;
+
+END;
+</textarea></div>
+
+<script>
+ var editor = CodeMirror.fromTextArea(document.getElementById("code"), {
+ lineNumbers: true,
+ matchBrackets: true,
+ mode: {
+ name: "vhdl",
+ }
+ });
+</script>
+
+<p>
+Syntax highlighting and indentation for the VHDL language.
+<h2>Configuration options:</h2>
+ <ul>
+ <li><strong>atoms</strong> - List of atom words. Default: "null"</li>
+ <li><strong>hooks</strong> - List of meta hooks. Default: ["`", "$"]</li>
+ <li><strong>multiLineStrings</strong> - Whether multi-line strings are accepted. Default: false</li>
+ </ul>
+</p>
+
+<p><strong>MIME types defined:</strong> <code>text/x-vhdl</code>.</p>
+</article>