From 224797231a8144f6ead1baab3b4b01a74cab629c Mon Sep 17 00:00:00 2001 From: Eduardo Julian Date: Mon, 21 Nov 2022 16:40:51 -0400 Subject: Added index section to documentation + re-named Primitive -> Nominal --- stdlib/source/unsafe/lux/data/binary.lux | 6 +++--- stdlib/source/unsafe/lux/data/collection/array.lux | 8 ++++---- 2 files changed, 7 insertions(+), 7 deletions(-) (limited to 'stdlib/source/unsafe') diff --git a/stdlib/source/unsafe/lux/data/binary.lux b/stdlib/source/unsafe/lux/data/binary.lux index 83a6df975..fd68e39e0 100644 --- a/stdlib/source/unsafe/lux/data/binary.lux +++ b/stdlib/source/unsafe/lux/data/binary.lux @@ -43,11 +43,11 @@ @.python (type .public Binary - (Primitive "bytearray")) + (Nominal "bytearray")) @.scheme (these (type .public Binary - (Primitive "bytevector")) + (Nominal "bytevector")) (ffi.import (make-bytevector [Nat] Binary)) (ffi.import (bytevector-u8-ref [Binary Nat] I64)) @@ -201,7 +201,7 @@ (.as .Int ) (,, (.static @.jvm)) - (.as (.Primitive "java.lang.Long") ) + (.as (.Nominal "java.lang.Long") ) )) (ffi.long_to_byte ) diff --git a/stdlib/source/unsafe/lux/data/collection/array.lux b/stdlib/source/unsafe/lux/data/collection/array.lux index 8c1d0ce47..184be69cf 100644 --- a/stdlib/source/unsafe/lux/data/collection/array.lux +++ b/stdlib/source/unsafe/lux/data/collection/array.lux @@ -11,19 +11,19 @@ [type ["[0]" variance]]]]]) -(def .public primitive +(def .public nominal "#Array") (with_template [ ] [(.type .public - {.#Primitive ..primitive {.#Item {.#End}}})] + {.#Nominal ..nominal {.#Item {.#End}}})] [(variance.Mutable r w) (Array' r w)] [(variance.Mutable a a) (Array a)] ) -(with_expansions [ (.Primitive "java.lang.Long") - (.Primitive "java.lang.Object")] +(with_expansions [ (.Nominal "java.lang.Long") + (.Nominal "java.lang.Object")] (for @.jvm (def jvm_int (template (jvm_int value) [(.|> value -- cgit v1.2.3